Command: vcs -full64 -lca -sverilog -l compile.log -timescale=1ns/1ps -ntb_opts uvm \ +define+UVM_NO_DEPRECATED+UVM_OBJECT_MUST_HAVE_CONSTRUCTO -top top -f /home/ishitani/workspace/tvip-axi/tue/compile.f \ -f /home/ishitani/workspace/tvip-axi/tvip-common/compile.f -f /home/ishitani/workspace/tvip-axi/compile.f \ -f /home/ishitani/workspace/tvip-axi/sample/env/compile.f /home/ishitani/workspace/tvip-axi/sample/env/tvip_axi_sample_delay.sv \ /home/ishitani/workspace/tvip-axi/sample/env/top.sv Chronologic VCS (TM) Version P-2019.06-SP2-2_Full64 -- Thu Feb 25 09:59:43 2021 Copyright (c) 1991-2019 by Synopsys Inc. ALL RIGHTS RESERVED This program is proprietary and confidential information of Synopsys Inc. and may be used and disclosed only as authorized in a license agreement controlling such use and disclosure. Warning-[LCA_FEATURES_ENABLED] Usage warning LCA features enabled by '-lca' argument on the command line. For more information regarding list of LCA features please refer to Chapter "LCA features" in the VCS Release Notes Parsing design file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_pkg.sv' Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/macros/uvm_version_defines.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/macros/uvm_message_defines.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/macros/uvm_phase_defines.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/macros/uvm_object_defines.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/macros/uvm_printer_defines.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/macros/uvm_tlm_defines.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm_imps.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/macros/uvm_tlm_defines.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/macros/uvm_sequence_defines.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/macros/uvm_callback_defines.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/macros/uvm_reg_defines.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/macros/uvm_deprecated_defines.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_pkg.sv'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/directc/uvm_directc.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/directc/uvm_seed.vh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/directc/uvm_directc.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_pkg.sv'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/dpi/uvm_dpi.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/dpi/uvm_hdl.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/dpi/uvm_dpi.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/dpi/uvm_svcmd_dpi.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/dpi/uvm_dpi.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/dpi/uvm_regex.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/dpi/uvm_dpi.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_pkg.sv'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_version.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_object_globals.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_misc.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_object.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_pool.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_queue.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_factory.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_registry.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_spell_chkr.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_resource.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/deprecated/uvm_resource_converter.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_resource_specializations.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_resource_db.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_config_db.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_printer.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_comparer.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_packer.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_recorder.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_event_callback.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_event.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_barrier.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_callback.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_callback.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_report_catcher.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_report_server.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_report_handler.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_report_object.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_transaction.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_phase.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_domain.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_bottomup_phase.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_topdown_phase.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_task_phase.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_common_phases.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_runtime_phases.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_component.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_root.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_component.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_objection.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_heartbeat.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_globals.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_cmdline_processor.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_base.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_pkg.sv'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm_ifs.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_sqr_ifs.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/base/uvm_port_base.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm_imps.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_imps.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_ports.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_exports.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_analysis_port.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm_fifo_base.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm_fifos.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm_req_rsp.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_sqr_connections.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm1/uvm_tlm.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_pkg.sv'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_pair.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_policies.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_in_order_comparator.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_algorithmic_comparator.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_random_stimulus.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_subscriber.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_monitor.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_driver.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_push_driver.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_scoreboard.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_agent.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_env.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_test.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/comps/uvm_comps.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_pkg.sv'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_seq.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_sequence_item.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_seq.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_sequencer_base.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_seq.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_sequencer_analysis_fifo.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_seq.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_sequencer_param_base.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_seq.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_sequencer.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_seq.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_push_sequencer.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_seq.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_sequence_base.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_seq.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_sequence.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_seq.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_sequence_library.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_seq.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_sequence_builtin.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/seq/uvm_seq.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_pkg.sv'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2_defines.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2_time.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2_generic_payload.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2_ifs.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2_imps.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2_ports.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2_exports.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2_sockets_base.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2_sockets.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/tlm2/uvm_tlm2.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_pkg.sv'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_item.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_adapter.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_predictor.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_sequence.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_cbs.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_backdoor.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_field.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_vreg_field.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_indirect.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_fifo.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_file.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_mem_mam.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_vreg.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_mem.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_map.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_block.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/sequences/uvm_reg_hw_reset_seq.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/sequences/uvm_reg_bit_bash_seq.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/sequences/uvm_mem_walk_seq.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/sequences/uvm_mem_access_seq.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/sequences/uvm_reg_access_seq.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/sequences/uvm_reg_mem_shared_access_seq.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/sequences/uvm_reg_mem_built_in_seq.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/snps_uvm_reg_bank.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/reg/uvm_reg_model.svh'. Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_pkg.sv'. Parsing design file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv' Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/tue_macros.svh'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_macros.svh'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/macros/tue_version_defines.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_macros.svh'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/macros/tue_object_defines.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_macros.svh'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/macros/tue_sequence_defines.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/base/tue_version.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/base/tue_globals.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/base/tue_fifo.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/base/tue_configuration.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/base/tue_status.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/base/tue_check_type.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/base/tue_object_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/base/tue_component_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/base/tue_component_proxy.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/base/tue_component.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_subscriber.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_item_waiter.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_monitor.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_driver.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_scoreboard.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_agent.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_env.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_test.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/seq/tue_sequence_item_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/seq/tue_sequence_item.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/seq/tue_sequence_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/seq/tue_sequence.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/seq/tue_sequencer.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/seq/tue_sequence_item_dispatcher.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_param_monitor.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_param_agent.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/seq/tue_reactive_sequencer.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/seq/tue_reactive_sequence.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_reactive_monitor.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/comps/tue_reactive_agent.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/reg/tue_reg_cbs.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/reg/tue_reg_field.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/reg/tue_reg.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/reg/tue_reg_block.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/reg/tue_reg_map.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/reg/tue_reg_item.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/reg/tue_reg_predictor.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/reg/sequences/tue_reg_sequence_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/reg/sequences/tue_reg_hw_reset_seq.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/reg/sequences/tue_reg_bit_bash_seq.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/reg/sequences/tue_reg_access_seq.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tue/src/tue_pkg.sv'. Parsing design file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_pkg.sv' Parsing included file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_clock_if.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_clock_if.sv'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_reset_if.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_reset_if.sv'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/tue_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_types.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_item.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_delay_configuration.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_memory.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_item_waiter.svh'. Back to file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_pkg.sv'. Parsing design file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv' Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_types_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_defines.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_types_pkg.sv'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_if.sv'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/tue_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tvip-common/src/tvip_common_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_internal_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_configuration.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_status.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_memory.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_item.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_payload_store.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_component_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_monitor_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_sequencer_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_driver_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_agent_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_sequence_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_master_monitor.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_master_driver.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_master_sequencer.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_master_agent.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_master_sequence_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_master_access_sequence.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_master_write_sequence.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_master_read_sequence.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_slave_monitor.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_slave_data_monitor.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_slave_driver.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_slave_sequencer.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_slave_agent.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_slave_sequence_base.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_slave_default_sequence.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_ral_adapter.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_ral_predictor.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_undef_internal_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/src/tvip_axi_pkg.sv'. Parsing design file '/home/ishitani/workspace/tvip-axi/sample/env/tvip_axi_sample_pkg.sv' Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/uvm_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/sample/env/tvip_axi_sample_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/tue/src/tue_macros.svh'. Back to file '/home/ishitani/workspace/tvip-axi/sample/env/tvip_axi_sample_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/sample/env/tvip_axi_sample_configuration.svh'. Back to file '/home/ishitani/workspace/tvip-axi/sample/env/tvip_axi_sample_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/sample/env/tvip_axi_sample_write_read_sequence.svh'. Back to file '/home/ishitani/workspace/tvip-axi/sample/env/tvip_axi_sample_pkg.sv'. Parsing included file '/home/ishitani/workspace/tvip-axi/sample/env/tvip_axi_sample_test.svh'. Back to file '/home/ishitani/workspace/tvip-axi/sample/env/tvip_axi_sample_pkg.sv'. Parsing design file '/home/ishitani/workspace/tvip-axi/sample/env/tvip_axi_sample_delay.sv' Parsing design file '/home/ishitani/workspace/tvip-axi/sample/env/top.sv' Top Level Modules: top TimeScale is 1 ns / 1 ps Notice: Ports coerced to inout, use -notice for details Starting vcs inline pass... 12 modules and 0 UDP read. recompiling package vcs_paramclassrepository recompiling package uvm_pkg recompiling package tue_pkg recompiling module tvip_clock_if recompiling module tvip_reset_if recompiling package tvip_common_pkg recompiling package tvip_axi_types_pkg recompiling module tvip_axi_if recompiling package tvip_axi_pkg recompiling package tvip_axi_sample_pkg recompiling module tvip_axi_sample_delay_unit recompiling module top All of 12 modules done make[3]: Entering directory `/home/ishitani/workspace/tvip-axi/sample/work/csrc' \ rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so g++ -w -pipe -DVCS -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/include \ -c /storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm/dpi/uvm_dpi.cc if [ -x ../simv ]; then chmod a-x ../simv; fi g++ -o ../simv -rdynamic -Wl,-rpath='$ORIGIN'/simv.daidir -Wl,-rpath=./simv.daidir \ -Wl,-rpath=/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/linux64/lib -L/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/linux64/lib \ -Wl,-rpath-link=./ /usr/lib64/libnuma.so.1 /storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/linux64/lib/vpdlogstub.o \ uvm_dpi.o objs/amcQw_d.o _194928_archive_1.so SIM_l.o rmapats_mop.o rmapats.o \ rmar.o rmar_nd.o rmar_llvm_0_1.o rmar_llvm_0_0.o -lvirsim -lerrorinf -lsnpsmalloc \ -lvfs -lvcsnew -lsimprofile -luclinative /storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/linux64/lib/vcs_tls.o \ -Wl,-whole-archive -lvcsucli -Wl,-no-whole-archive ./../simv.daidir/vc_hdrs.o \ /storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/linux64/lib/vcs_save_restore_new.o \ -ldl -lc -lm -lpthread -ldl ../simv up to date make[3]: Leaving directory `/home/ishitani/workspace/tvip-axi/sample/work/csrc' CPU time: 7.876 seconds to compile + .302 seconds to elab + .381 seconds to link